你的位置:首頁 > 測試測量 > 正文

原理分析:基于EDA技術(shù)的數(shù)字頻率及系統(tǒng)設(shè)計

發(fā)布時間:2015-06-09 責(zé)任編輯:echolady

【導(dǎo)讀】EDA通常都是作為大規(guī)??删幊踢壿嬈骷妮d體,主要方式就是用硬件語言作為系統(tǒng)邏輯描述。EDA以其靈活性強(qiáng)的特點成為電子系統(tǒng)的專用技術(shù)。本文就小編解析基于EDA技術(shù)的數(shù)字頻率計的整體設(shè)計。

EDA技術(shù)是以大規(guī)??删幊踢壿嬈骷樵O(shè)計載體,以硬件語言為系統(tǒng)邏輯描述的主要方式,以計算機(jī)、大規(guī)??删幊踢壿嬈骷拈_發(fā)軟件及實驗開發(fā)系統(tǒng)為設(shè)計工具,通過有關(guān)的開發(fā)軟件,自動完成用軟件設(shè)計的電子系統(tǒng)到硬件系統(tǒng)的設(shè)計,最終形成集成電子系統(tǒng)或?qū)S眉尚酒囊婚T新技術(shù)。其設(shè)計的靈活性使得 EDA技術(shù)得以快速發(fā)展和廣泛應(yīng)用。

工作原理

眾所周知,頻率信號易于傳輸,抗干擾性強(qiáng),可以獲得較好的測量精度。因此,頻率檢測是電子測量領(lǐng)域最基本的測量之一。頻率計的基本原理是用一個頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時鐘,對比測量其他信號的頻率。通常情況下計算每秒內(nèi)待測信號的脈沖個數(shù),即閘門時間為1 s。閘門時間可以根據(jù)需要取值,大于或小于1 s都可以。閘門時間越長,得到的頻率值就越準(zhǔn)確,但閘門時間越長,則每測一次頻率的間隔就越長。閘門時間越短,測得的頻率值刷新就越快,但測得的頻率精度就受影響。一般取1 s作為閘門時間。

數(shù)字頻率計的關(guān)鍵組成部分包括測頻控制信號發(fā)生器、計數(shù)器、鎖存器、譯碼驅(qū)動電路和顯示電路,其原理框圖如圖1所示。

基于EDA技術(shù)的數(shù)字頻率及系統(tǒng)設(shè)計
 
測頻控制信號發(fā)生器

測頻控制信號發(fā)生器產(chǎn)生測量頻率的控制時序,是設(shè)計頻率計的關(guān)鍵。這里控制信號CLK取為1 Hz,2分頻后就是一個脈寬為1 s的時鐘信號FZXH,用來作為計數(shù)閘門信號。當(dāng)FZXH為高電平時開始計數(shù);在FZXH的下降沿,產(chǎn)生一個鎖存信號SCXH,鎖存數(shù)據(jù)后,還要在下次 FZXH上升沿到來之前產(chǎn)生清零信號CLEAR,為下次計數(shù)做準(zhǔn)備,CLEAR信號是上升沿有效。

計數(shù)器

計數(shù)器以待測信號FZXH作為時鐘,在清零信號CLEAR到來時,異步清零;FZXH為高電平時開始計數(shù)。本文設(shè)計的計數(shù)器計數(shù)最大值是99 999 999。

鎖存器

當(dāng)鎖存信號SCXH上升沿到來時,將計數(shù)器的計數(shù)值鎖存,這樣可由外部的七段譯碼器譯碼并在數(shù)碼管上顯示。設(shè)置鎖存器的好處是顯示的數(shù)據(jù)穩(wěn)定,不會由于周期性的清零信號而不斷閃爍。鎖存器的位數(shù)應(yīng)跟計數(shù)器完全一樣,均是32位。

譯碼驅(qū)動電路


本文數(shù)碼管采用動態(tài)顯示方式,每一個時刻只能有一個數(shù)碼管點亮。數(shù)碼管的位選信號電路是74LS138芯片,其8個輸出分別接到8個數(shù)碼管的位選;3個輸入分別接到EPF10K10LC84-4的I/O引腳。

數(shù)碼管顯示

本文采用8個共陰極數(shù)碼管來顯示待測頻率的數(shù)值,其顯示范圍從O~99 999 999。

相關(guān)閱讀:

基于EDA層次化設(shè)計方法的出租車計費器設(shè)計
電子系統(tǒng)設(shè)計流程和主流EDA工具介紹
電路設(shè)計中一些常用的EDA軟件

要采購工具么,點這里了解一下價格!
特別推薦
技術(shù)文章更多>>
技術(shù)白皮書下載更多>>
熱門搜索
?

關(guān)閉

?

關(guān)閉